その他

TSMC、N4Pプロセス技術を発表、N5に比べて22%の高効率化を実現

投稿日:

TSMC、N4Pプロセスで先進技術のリーダーシップを拡大

N4Pは5nmプラットフォームの性能、電力効率、密度のリーダーシップを拡大する

TSMC(TWSE: 2330, NYSE: TSM)は、本日、5ナノメートル技術プラットフォームの性能向上に焦点を当てたN4Pプロセスを発表しました。

N4Pは、業界で最も先進的で幅広いポートフォリオを持つ最先端テクノロジープロセスに加わります。

N5、N4、N3、そして今回追加されたN4Pにより、TSMCの顧客は、製品の電力、性能、面積、コストについて、複数の魅力的な選択肢を持つことになります。

N4Pは、TSMCの5nmファミリーの3番目の主要な機能強化として、オリジナルのN5テクノロジーに比べて11%、N4に比べて6%の性能向上を実現します。

また、N4PはN5と比較して、電力効率が22%向上し、トランジスタ密度も6%向上します。

さらに、N4Pはマスク数を減らすことで、プロセスの複雑さを軽減し、ウェハサイクルタイムを改善します。

N4Pは、TSMCがプロセス技術の継続的な改善を追求し、投資していることを示しています。

TSMCの顧客は、製品のための新しいIPやアーキテクチャ、その他のイノベーションを開発するために貴重なリソースを投じることが多い。

N4Pプロセスは、5nmプラットフォームベースの製品を容易に移行できるように設計されており、顧客は投資をより有効に活用できるだけでなく、N5製品をより速く、より電力効率よく刷新することができます」と述べています。

「TSMCは、N4Pによって、性能、電力効率、コストをそれぞれ独自に組み合わせた先進のロジック半導体技術のポートフォリオを強化します。N4Pは、HPCとモバイルの両方のアプリケーションのために、さらに強化された先端技術プラットフォームを提供するために最適化されました。N5、N4、N3テクノロジーのすべてのバリエーションの中から、お客様は製品に最適な属性の組み合わせを究極の柔軟性をもって選択することができます。

- TSMCのビジネス・ディベロップメント担当シニア・バイス・プレジデント、ケビン・チャン博士は次のように述べています。

N4Pの設計は、TSMCのシリコンIPとEDAの包括的な設計エコシステムによって十分にサポートされます。

TSMCとそのOpen Innovation Platformパートナーが製品開発サイクルの加速を支援することで、N4Pテクノロジーを採用した最初の製品は、2022年後半に発売される予定です。

ソース:Videocradz.com - TSMC announces N4P Process technology with 22% higher efficiency over N5

 

 

解説:

TSMCがN4Pのスケジュールを発表

次月と新しいプロセスがロンチされていろいろとわからなくなってきたと思いますので、下に纏めました。

N5・・・2020年(ロンチ済み)

N5P・・・2021年(ロンチ済み?)

N4・・・2022年

N4P・・・2022年後半

N3・・・2022年後半

2021年の7月以降の情報で上のようになっています。

来年のN3はAppleが予約していると言われており、Intelはその次以降と言うことになります。

IntelはN3をMCMの一つとして使うと言われています。

よって、全面的にTSMCのN3を押し出してこない可能性もあるんじゃないかと思っています。

この辺はまだ噂の段階ですから、MeteorLakeをどうするのかではっきりするのではないでしょうか。

先日の記事ではI/OダイにTSMCを使うとされています。

だとしたらN6になりそうな気配です。

AMDはIntel、Appleと言う2つの超巨大企業に挟まれて、果たして無事にN3が使えるのかどうか?と言ったところです。

押し負けて、N4/N4Pを使わざるを得なくなった場合、ちょっと厳しいのかなと思います。

intelが無理にN3を使わないとしたら、私はAMDが楽勝で勝てると思っています。

N5からN3の差と言うのはそのくらい圧倒的なものがあります。

ただし、一時期N3は遅れるとも言われており、2022年後半にちゃんと製品が生産されるのかどうかは続報を待ってみるしかないですね。

 

 

-その他
-,

Copyright© 自作ユーザーが解説するゲーミングPCガイド , 2024 All Rights Reserved.