その他

インテル、EUVリソグラフィによる14A「1.4nm」および10A「1.0nm」プロセス・ノードの開発に期待

投稿日:

インテルは、今後数年間で3、20A、18Aという新しいプロセスノード技術をリリースする予定であると伝えられている。

同社はすでに2021年にAlder LakeシリーズのプロセッサからIntel 7を実現している。

Intel 7は、Raptor Lake、Sapphire Rapids、Xe-HP、Xe-HPCチップセットにも採用された。現在は、来年の前半から量産する新しいプロセスノードで、未来を見据えている。

Intelは20Aと18Aのプロセスを開発、ASMLのEUVリソグラフィマシンを利用、将来的には14Aと10Aも視野に

Intelは、7nmプロセスノードの元となったIntel 4の研究開発を継続することを検討しており、Meteor LakeとGranite Rapidsに搭載される予定である。

EUVリソグラフィーを活用することで、Intel 7に比べて20%のPPW(Performance Per Watt)を実現すると言われている。

その後、Intel 3は、EUVリソグラフィを増やしてモジュール化を進め、より高性能なライブラリを提供し、PPWを18%に高める予定だ。

Intel 20Aと18Aは、ASML製のEUVマシンを使って、2024年までに1.8nmのプロセスノードを製造することを推進する。

20Aと18Aは、プロセッサシリーズについては不明だが、20AはRibbonFET(リボン型電界効果トランジスタ)とPowerVia(バックエンドの電力供給ネットワーク)、18Aは第2世代のRibbonFETと高NA EUVリソグラフィーを特徴とする。

RibbonFETは、昨年、FinFETトランジスタのアーキテクチャに取って代わりました。

ASMLは2028年までに1 nmの大台に到達すると予想しているが、それより前に、1.4 nmプロセスノードを使用する将来世代の14 AプロセスでIntelを支援する。

EUVリソグラフィ技術、特にプロセスの改善に関する研究は、新しいプロセスノードを作成するための新しいマシンの作成コストだけでなく、製造コストも増加させることになります。

現在、EUV露光機のコストは1億5,000万ドル近くかかり、推測では4億ドルに上昇すると言われています。

現在開発中のASMLの最新EUV露光機は、2026年までにHigh NA技術を可能にするEXE:5000シリーズで、これはインテルの18A計画と一致する。

Intelは、2つの最新世代(20Aと18A)の開発を公式に発表していないが、技術大手が2021年に作成した5カ年計画と一致するような開発を進めていると噂されている。

ソース:wccftech - Intel Expected To Develop 14A “1.4nm” & 10A “1.0nm” Process Nodes With EUV Lithography

 

 

 

解説:

Intelが14Aと10Aを予定

Intelは2024年までに20A、18Aを実現する予定です。

そのあとは14Aと10Aという話が話題に上がっています。

Intel10Aは2028年までに実現すると言われています。

Intel14Aは2026年から2027年までの間と言うことになり、ちょっと期間空いていますね。

こういう空白期間があると20Aと18Aはかなり見切り発車しているのではないかと心配性の私は心配しています。(苦笑。

 

Intel

  • Intel7 (2021年AlderLake)
  • Intel4 (2023年MeteorLake)
  • Intel20A (2024年ArrowLake)
  • Intel18A (2025年LunarLake)
  • Intel14A (2026-2027年)
  • Intel10A (2028年)

 

TSMC

  • TSMC 3nm (2023年 Apple A17)
  • TSMC 2nm (2025年 量産予定、出荷は2026年?)
  • TSMC 1.4nm (2028年)

 

サムスン

  • 4nm(失敗)
  • 3nm (2024年)
  • 1.4nm (2027年)

 

各社は上のような予定になっています。

サムスンに関しては、既に脱落しかかっていると判断してもよいと思います。

韓国の企業は相手より早くロンチするために無茶苦茶なスケジュールを組むのですが、歩留まり(品質)が水準を下回っており使い物にならないことがままある(他の業種でも)ので話半分に聞いておくべきでしょう。

4nmに関しては良品率が35%を下回り、使い物になりませんでした。

3nmはTSMCより早くロンチすると言って2022年にロンチしましたが良品率が20%以下でボロボロでしたが、今年の1月に歩留まりが大幅に改善したというニュースが出ました。

別にサムスンが駄目とは言いませんが、さすがにハッタリを利かせ過ぎだと思います。

1.4nmもTSMCが2028年までに実現を目指すといった後に2027年と言い出しました。

出来るのかできないのかわかりませんけど、TSMCより早く実現するということだけしか頭にないように見えます。

TSMCより早く生産を開始できたけど、良品率20%だと使い物にならないので、きちんと現実的な予定を組んでほしいところです。

 

 

intelの予定も「これ本当に守れるのかな?」と言うレベルです。

Intel4と18Aはモバイル専用っぽいのですが、あまりに予定が詰まり過ぎていて、本当にスケジュールを達成できるのか疑問に感じるレベルですね。

逆に進歩が減速していてるように見えるのがTSMCです。

Intelが上のスケジュールを刻むと追い抜かれる公算が高いです。

さて、Intelの予定が無謀な挑戦なのか、世界一の座に返り咲く復活の一歩なのかは現時点ではわかりません。

しかし、上の予定が現実になれば、また半導体業界に大きな変化が起きるのは確実だと思います。

 

 

 

-その他
-

Copyright© 自作ユーザーが解説するゲーミングPCガイド , 2024 All Rights Reserved.